Xcodeの構文色設定(Syntax Coloring)が無効になってしまう

最近のIDEでは必須の機能だが、Xcodeにはコーディング中のソースコードを見やすくするためにObjective-C予約語や識別子等、構文に色をつける機能がある。Xcodeの場合はどのように呼ぶのが正当なのかが判らないが、構文色設定:Syntax ColoringやSyntax Highlighting等と呼ばれている。

綺麗に構文に色が設定されたXcodeのエディタ

しかしこの便利な機能、作業をしていると無効になってしまうことがある。この無効状態になってしまうと画面上では二色(マゼンダと黒)でしかコードが表示されなくなり、更に大切なコード補完まで無効になってしまうので非常に生産性が落ちてしまう。

この問題、Xcodeが生成しているDerived Data(Xcodeのワークスペースデータ、諸元値、コピーされたヘッダ、中間ファイル、シンボルやインデックス、マップやコードの色設定や補完のための情報が格納されている)がなんらかの理由で壊れたのが原因であり、この壊れてしまったデータを一度消してやることで問題が解決することが判った。

Derived Data、配置されているパスが判っていればFinderから消しても良いが、オーガナイザで削除してやるのが安全だ。

Window->Organizer->Projects->$現在編集中のプロジェクト->(Delete Derived Data)ボタン


なお、このDerived Dataは消してもビルドし直せば何度でも再生成されるため問題は無い。